引用格式:梁惠康, 段辉高. 电子束光刻设备发展现状及展望[J]. 10.1016/j.cell.2022.04.036科技导报, 2022, 40(11): 33-44; doi: 10.3981/j.issn.1000-7857.2022.11.004  
电子束光刻设备发展现状及展望
梁惠康1,段辉高1,2*    
1. 湖南大学机械与运载工程学院,长沙 410082
2. 湖南大学粤港澳大湾区创新研究院,广州 511300
摘要 电子束光刻设备在高精度掩模制备、原型器件开发、小批量生产以及基础研究中有着不可替代的作用。在国外高端电子束光刻设备禁运的条件下,中国迫切需要实现高端国产化设备的突破。介绍了电子束光刻设备发展历程,列举了当前活跃在科研和产业界的3种设备(高斯束、变形束、多束)的主要厂商及其最新设备性能,并概括了国产化电子束光刻设备发展现状。通过国内外电子束光刻设备性能的对比,总结了国产化研发需要解决的关键性难题。其中,着重介绍了高端高斯电子束光刻设备国产化需要面临的技术挑战:热场发射电子枪、高加速电压、高频图形发生器、极高精度的激光干涉仪检测技术及高精度电子束偏转补偿技术。
关键词电子束光刻设备     微纳制造     高斯束     变形束     多束    

随着摩尔定律愈发逼近物理极限,半导体制造行业面临着愈发苛刻的挑战。批量生产的半导体制程中采用光学光刻的方法,而光学光刻的结构制备精度强烈依赖于所使用的掩模精度,掩模精度的要求往往要高于器件要求[1]。对于目前先进节点5 nm甚至3 nm制程,更是将这种掩模精度要求提高到极致[2],而能够实现这种高精度掩模制备的核心技术就是电子束光刻。虽然电子束光刻在量产领域没有得到突破,但是被广泛应用在高精度掩模制作、小批量定制化器件的制备、微纳器件原型开发中,特别是在光电领域,如电子及光电芯片打样与小批量生产,光栅行业、二元光学、微纳光学及超表面等行业的小批量生产,特种光电器件定制。由于电子束光刻可定制化的加工能力,还助力了系列前沿研究方向,如新材料[3]、前沿物理研究(超导[4]、量子[5])、光子(微纳光学[6-7]、光波导[8])、生物(分子检测[9]、DNA测序[10]、微纳流控[11])、微电子[12]、微机电等研究领域。

电子束光刻是工艺、材料、设备相互联系的一种微纳加工技术。其中,电子束光刻设备是实现电子束光刻技术的基础硬件平台,决定了产生电子束的品质和运动精度,其设备性能对电子束光刻质量有重要的影响。近年来由于半导体产业热度不断上升,国内基于电子束光刻技术的应用和加工需求也不断增加,相应地电子束光刻设备需求也不断提升。在《瓦森纳协议》之前,靠国外进口设备的方式基本能够满足国内设备市场需求,而在高端电子束光刻设备禁运后,设备产能的空白使得国产化设备开发变得更为急迫。尽管国内科研单位和企业在电子束光刻工艺和设备关键零部件上有所突破,也有相应科研单位启动电子束光刻设备整机的研发,但目前仍在筹划或进行中,国产化高端电子束光刻设备问题仍未突破。为了推进国产化突破,对国际上的电子束光刻设备发展历程、研发进度和设备性能等进行全面的了解是规划国产化研发的前提,但目前国内对上述要点并未有最新的相关资料和文献。基于此初衷,本研究将重点介绍电子束光刻设备的发展情况,并针对当前形势,提出实现电子束光刻设备国产化的难点和发展路线建议。

1 电子束光刻设备的历史和分类

电子束光刻技术起源于扫描电子显微镜,至今已有70多年历史。早期的电子显微镜由于高能电子辐照产生碳污染,1958年美国麻省理工学院的研究人员首次利用这种电子引起的碳污染形成刻蚀掩模,制备出高分辨率的二维图形[13]。在20世纪60年代电镜装配图形发生器的配置已经可以加工微米或亚微米结构[14],但这种高分辨加工能力一开始并不是最受关注的,更受关注的是电子束光刻无需掩模产生任意图形的能力。1970年,Thomson CSF公司为电子束光刻系统引入了激光干涉定位系统,让大面积高精度写场拼接和套刻得以实现。后续在20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术[15]。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术[16],使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代贝尔实验室、IBM与尼康合作分别提出了2种不同的基于掩模的多束平行电子束投影曝光方案:SCALPEL[17]和PREVAIL[18]。由于同一时期浸没光刻技术在工业界的发展和应用使得基于掩模的投影曝光向无掩模的投影曝光方向发展,也就是可编辑的投影曝光,现在的多束电子束光刻技术。图 1展示了上述提及的电子束光刻设备发展历程与等效电子束数目的关系。

SCALPEL—具有角度限制的投影式电子束光刻技术;PREVAIL—具有可变轴浸没透镜的电子束缩小成像技术;MAPPER—多光阑逐像素增强分辨率电子束光刻技术;PML2—无掩模投影式电子束光刻技术;MSB—多形状束电子束光刻技术;REBL—反射式电子束光刻技术 图 1 电子束光刻设备的发展历程与等效电子束束斑数量的关系

目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束3类,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,因此被广泛应用于各大高校和研发机构的基础科学研究中,而变形束和多束电子束光刻设备则主要服务于工业界的掩模制备中。

2 国外电子束光刻设备发展现状 2.1 高斯束电子束光刻设备

高斯束电子束光刻设备是与电镜设备最为相近的分类,是最早的电子束光刻设备种类,因其产生的电子束束斑能量为圆形高斯分布而得名。高斯束电子束光刻设备已经发展多年,相应技术已趋向稳定,相关零部件和技术研发机构已由设备厂商全面承担,且近几年来各代表性公司均无突出的产品更新。代表性的高斯束电子束光刻设备厂商包括德国Raith公司、日本JEOL公司、日本Elionix公司、日本Crestec公司、英国NanoBeam公司等。这些高斯束公司在经过多代产品迭代后,均向大面积、高电压、小束斑、高精度、智能化方向发展。如Raith公司的EBPG-5200型号设备就具备加工8 in(1 in=2.54 cm)晶圆的能力,加速电压可达到100 kV,电子束束斑小于3 nm,最小曝光结构和拼接、套刻精度分别为8、8、5 nm,具备自动调整最优束斑参数、自动调焦、自动标记对准等功能。而Elionix公司更是将高压和极小束斑的追求达到行业极致,其公司的ELS-BODEN Σ型号设备最高加速电压可达到150 kV,对应的最小束斑直径为1.5 nm。与变形束不同,高斯束无需遵循特定的图案切割规律,可以实现任意图形的曝光(尤其是曲线图形),可以用极小束斑实现极小的线宽,这对于微纳结构形状多样化和极端精度要求的基础科学研究和定制化加工应用有着重要意义。如图 2所示,Elionix公司在其加工案例中列举了4或5 nm最小线宽的加工能力,并展示了直径最小15 nm的圆环,可加工的光刻胶厚度大,加工结构侧壁陡直度良好,展示了高压高斯电子束极小尺度极高精度的加工能力。表 1介绍了目前主流高斯束电子束光刻设备公司的设备参数。

图 2 高压高斯电子束极小尺度极高精度加工能力
表 1 目前主流高斯束电子束光刻设备参数
2.2 变形束电子束光刻设备

高斯束电子束通过单点的方式扫描实现曝光,曝光速度慢;而变形束通过不同形状的光阑组合形成特定图形的面束斑,一次曝光较大的面,使得曝光效率大大提高。因此变形束电子束光刻设备在半导体产业界备受青睐,许多设备厂商都与掩模加工厂商直接合作,主要销售对象也主要以掩模加工厂商为主。目前国际上具备变形束电子束光刻设备开发和生产能力的厂商有日本的JEOL公司、Nuflare公司、Advantest公司和德国的Vistec公司,表 2详细展示了上述提及的变形束设备公司的最新产品性能参数。在掩模加工厂商所使用的变形束设备中,Nuflare公司的设备占据了市场份额的90% 以上,垄断了电子束光刻掩模制备设备市场[28]。因此在设备性能方面,Nuflare公司在垄断产业界变形束设备市场的期间,不断迭代推陈出新,其产品EBM-9500PLUS是唯一一个具备7/5 nm掩模制备能力的变形束设备,其高达1200 A·cm-2的束流密度远超同类产品,使曝光效率极大提高。EBM-9500PLUS已得到各掩模制备厂商的认可,可实现半周期30 nm以下结构的精准曝光,满足掩模制备过程中复杂图形的快速高精度制备。图 3(a)展示了EBM-9500PLUS加工30~18 nm半周期光栅结构的能力[29]图 3(b)~图 3(d)展示了基于逆向光刻技术(inverse lithography technology,ILT)设计的掩模图案曝光结果[30]。而其他变形束公司最优产品均已多年未更新改进,束流密度多停留100 A·cm-2以下,不满足产业界掩模制备的效率要求。此外,除了在设备上追求极高的控制精度,Nuflare公司近几代的变形束设备中逐渐引入热效应[31]、雾化效应、充电效应[32-33]等多效应的电子束与材料相互作用仿真模型以补偿相应误差,使得其设备的曝光结构精度领跑行业。

表 2 目前主流变形束电子束光刻设备参数
(a)利用EBM-9500PLUS加工的30~18 nm半周期光栅结构;(b)~(d)利用EBM-9500PLUS加工的逆向光刻掩模图案 图 3 日本Nuflare EBM-9500PLUS加工能力
2.3 多束电子束光刻设备

多束电子束光刻系统是目前电子束光刻设备中最新的研究成果。随着节点的不断缩小,由于衍射效应的影响,掩模制造商在光掩模上使用各种分辨率增强技术,如光学邻近校正(optical proximity correction,OPC)、逆向光刻技术、相移掩模。这些技术在提高光刻精度和保真度的同时,也增加了掩模的复杂性,如在光学邻近效应中广泛应用到亚分辨率的辅助结构,在逆向光刻技术中存在大量曲线图形。写入时间是掩模生产中最为关键的指标,更复杂图形的曝光对于变形束光刻而言需要划分的图形数据量更大,曝光时间更长,这是产业界所难以接受的。因此,Nuflare的变形束加工为了满足上述技术带来的掩模复杂性的同时保证写入速度,其电流密度已经从2006年的70 A·cm-2提高到最新的1200 A·cm-2,已达到了物理极限。因此多束电子束是目前继续推进更小节点掩模写入速度提升的唯一选择。

在过去的20多年里,多束电子束光刻技术经历了概念、零部件、原型机、商用设备漫长的研发过程,最终在7 nm节点开始进入掩模制备市场[41]。在多束电子束光刻发展过程中具有代表性的公司包括荷兰MAPPER公司、奥地利IMS Nanofabrication公司、日本Nuflare公司、美国KLA公司、德国Vistec公司。其中,MAPPER公司曾一度具备多束电子束光刻设备最顶尖的研发技术(已被荷兰ASML收购),其研发目标是应用到集成电路的晶圆级直接曝光中,因此在EUV技术占据市场后研发热度降低;而IMS(PML2方案)和Nuflare公司为目前唯二还保留多束电子束研发并具备商业化设备制造能力,其应用方向均为掩模制备;KLA公司提出的反射式(REBL)方案[42]及Vistec公司提出的多形状束(MSB)方案[43]并没有得到持续和足够的研发投入而逐渐退出多束研发的舞台。后续介绍主要围绕MAPPER、IMS和Nuflare公司的方案进行介绍,各公司代表性多束设备产品参数如表 3所示。

表 3 3家主流多束电子束光刻公司设备参数

MAPPER公司是最早开始研发多束电子束光刻系统的公司,基于其在微机电系统(micro-electro-mechanical system,MEMS)和互补金属氧化物半导体技术(complementary metal oxide semiconductor,CMOS)的突破成功开发了5 keV的多束电子束系统,其中FLX-1200型号设备原理如图 4(a)所示[45]。高亮度阴极产生的电子束经过扩束后点亮光阑阵列形成多束电子束,分束后电子束通过束闸阵列控制其独立偏转,结合后续的截止板可以实现独立电子束的通断,具体为未偏转电子束的通过和偏转电子束的消隐。分束后的7×7束电子束为一组电子束组,由微透镜阵列上相应的单元透镜进行缩放,并由偏转阵列实现各电子束组的扫描偏转。各部件阵列的制备均依赖于MEMS制备技术和CMOS技术实现各个尺寸的孔阵制备以及对应控制电路的集成。最终在晶圆上形成7×7束斑为25 nm,周期为80 nm的电子束组,204×13个电子束组以150 μm为周期排布形成狭缝,最终5条狭缝组成整个多束曝光阵列。电子束组以特定的方式错位排布,最终只需要单个电子束组在垂直扫描方向上偏转2 μm即可完成26 mm宽的曝光带。而在电子束组内,同样以特定方式旋转排布7×7电子束,以小于束斑直径的网格(3.5/2.25 nm)进行冗余曝光[44, 48]

图 4 3种多束设备原理示意

而IMS公司的多束系统与MAPPER有很大的不同。首先,在图 4(b)中可以看到其电子枪处所用加速电压为5 kV,经过中间加速电极最终到达晶圆表面的电子能量为50 keV。同时,在同样经过光阑、束闸阵列分束后,多束电子束共用一个透镜系统和偏转系统进行缩放和扫描曝光,搭配消隐用的消隐板也简化为单一光阑。相对于MAPPER,IMS的设计极大程度减少了多束系统中有着复杂工艺和低良率阵列器件的需求,简化了整体的设计。以IMS公司MBM-101设备为例,其最终在晶圆上形成512×512束束斑直径为20 nm、束斑间距为160 nm的束斑阵列,阵列大小为82 μm×82 μm。曝光时,束斑阵列以锯齿状扫描路径填充160 nm的间距[49],填充网格为5 nm×5 nm,也为冗余曝光,剂量通过单次曝光的0~15个剂量等级赋予(共15×16+1=241个剂量等级)[50-51]

Nuflare公司的设备与IMS公司类似,同样也采用单一透镜和偏转系统进行统一,稍有不同的是,其电子枪处的加速电压为50 kV,因此无需静电加速透镜部分,进一步简化系统,如图 4(c)所示。而光阑和束闸分布均与IMS相同,在晶圆上形成512×512束直径为10 nm、周期为160 nm的电子束阵列。而其曝光网格为与束斑相同为10 nm,因此其曝光并不是冗余曝光,单点剂量为10位剂量对应1024个剂量等级[51]

由上述3家多束曝光的原理介绍可以看出,多束电子束光刻以多帧电子束点阵进行微位移来填充设定网格,最终完成一定区域的曝光。每帧电子束点阵由相应的曝光图案和规则分解后得到相应的点阵图形,每帧的停留时间一定,扫描的速度恒定,使得曝光任意图形所需时间恒定,与曝光的图形复杂度无关。IMS公司在其多束设备验证中多次展示了快速曝光高保真的OPC和ILT图形能力[41, 52],如图 5所示。而对于单点曝光的高斯以及变形束电子束光刻,图形越复杂,切割的数据量越大,所需曝光的点数越多,因此在曝光OPC和ILT等具备曲边复杂图形时曝光时间会极大增加。此外,多束电子束光刻由于电子束点阵单次大面积的曝光,因此其对束流密度的要求远小于变形束,也使得多束电子束光刻的热效应相对高束流变形束要小得多[53]

图 5 MBMW-101加工能力
3 国内电子束光刻设备研究进程与现状

国内关于电子束光刻设备的研发主要集中在20世纪70年代到21世纪初,在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。其中,目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13 μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1 μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm[54]。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ- 2 μm级可变矩形电子束曝光机的研究成果[55],可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究[56],设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台[57-58]、真空系统[59-60]、图形发生器[61-62]、偏转[63-65]和束闸[66-67]等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。

除电子束光刻设备研发外,与之技术相似且紧密相关的扫描电镜国产化进程迅速,目前国内已有多家企业提供自主研发的电镜,包括深圳市善时仪器有限公司、聚束科技(北京)有限公司、国仪量子(合肥)技术有限公司、北京中科科仪股份有限公司、安徽泽攸科技有限公司等。这些国产化电镜设备中储备了电子束光刻设备相当一部分的核心技术,可从供应链或者具有自主知识产权的关键零部件设计和技术方面为电子束光刻设备研发提供支持,包括成熟的电子光学柱、透镜系统、场发射电子枪等。

4 国产化高端电子束光刻设备研发面临的挑战

基于国内外设备的研发进度和现状可以看出国内电子束光刻设备研发技术有明显的技术差距,国产化电子束光刻设备面临着巨大的挑战。在目前主流的3种电子束光刻设备中,高斯束设备的研发难度最低,且变形束和多束设备中也包含了高斯束设备中所用的理念和规则,因此以高斯束设备作为初期研发方向是一个较为合适的方案。在高斯束设备上,中国已有一定的研究基础,但其中一些关键零部件无法进行自主化制备,一些关键零部件的参数一直达不到国际先进水平:(1)在国内在电子枪制备方面停留在钨(W)和LaB6的水平,电子源像尺寸较大、亮度低,而目前主流的小电子源像、高亮度的热场发射式电子枪尚未得到突破;(2)在设备所用加速电压方面,100 kV是目前高端高斯束设备的标准配置,国产设备的加速电压停留在电镜水平(30 kV以下),自主研发的高稳定性耐高压的电子光学柱部件是关键;(3)为了满足效率需要,写入速度是衡量设备的重要指标,而与之对应的就是图形发生器的扫描速度,国际先进水平为100 MHz量级,而国内自主研发的图形发生器参数仅在10 MHz以下;(4)电子束光刻设备极高的电子束定位精度的关键之一为高精度的位置检测技术,通过工件台上的激光干涉仪完成,目前国际上先进激光干涉仪的分辨率可达到0.15 nm,而近年来国产化的激光干涉仪已达到1 nm,但仍需提高;(5)极高的电子束定位精度的另一个关键电子束偏转精度,电子束在写场内的偏转网格与理想网格之间的误差应尽量小,进而得到极高的图形位置、拼接、套刻等精度,这需要高精度的偏转器和控制信号,并补偿由于偏转非线性、写场畸变、偏移、旋转、增益等带来的位置误差,国际顶尖设备的定位精度已经达到亚10 nm,在产业级的变形束中甚至达到了2 nm以下,而国内自主研发设备目前在百nm或亚百nm范围。表 4介绍了上述问题所面临的挑战。

表 4 国产化高端高斯束电子束光刻设备面临的挑战

而变形束设备方面,其技术路线与高斯束有显著的不同。

首先,在电子枪方面变形束需要的是光斑内束流密度分布均匀的大束斑,因此高斯束中主流的肖特基电子枪不再适用,更多地选用LaB6电子枪(束流密度 < 100 A·cm-2[34, 68],而后续进一步提高束流密度的技术还有待探索。

其次,变形束中电子光路结构比高斯束复杂得多,需要满足在改变束斑尺寸的同时保证束流密度和束斑边缘分辨率的稳定以及束斑原点位置的不变,这要求对变形光路进行精准的设计计算。对于复杂的电子光学系统设计,国外有相应的电子光学仿真计算技术辅助,而国内目前并无相应的产品,在国外电子光学商用软件可能随时禁止销售和使用的情况下,国产化电子光学仿真软件是迫切的需求。

再次,变形束设备中扫描曝光用到的并不是高斯束中常用的步进式扫描,而是采用连续扫描的方式,工件台的移动与电子束偏转同步进行(write on fly模式),这种扫描模式在国内相关研究甚微。

最后,变形束的扫描曝光方式与高斯束不同,采用可变尺寸的矩形、三角形或者特定单元投影图形拼接实现整个版图的曝光,需要重新设计图形发生器。变形束图形发生器研发的难点在于曝光图形保真度和写入速度之间的平衡以得到最优化的版图图形切割算法。上述提及的要点都是国产化变形束电子束光刻设备尚待解决的难题。

在多束设备上,其技术研发任务更为艰巨。作为多束核心零部件的光阑和束闸阵列的制备工艺极其烦琐,制备出来的阵列器件良率低,这也是限制多束设备发展的主要原因之一。若需要进行多束设备的国产化,束闸阵列器件制备工艺是最重要的研究方向之一;多束设备需要厘米大小的均匀电子束源以照亮整个孔阵,这样大面积的电子源均匀度要求是极难保证的;多束独特的点阵曝光方式需要全新的版图切割和写入策略,还需要兼容传统单束曝光中用到的剂量校正策略;多束设备曝光文件数据量极大,对曝光时版图数据的实时传输和处理速度有着极高的要求,需要高通量的数据传输算法和硬件的支持。

5 结论

电子束光刻技术是微电子、光电子、微机械、生物医疗、物理研究等领域的重要手段,是半导体产业中不可或缺的加工方式之一。介绍了电子束光刻设备的研发历程,从目前主流的高斯束、变形束和多束3种电子束光刻设备角度分析了国内外相关设备的研究现状,并从中提取出国产化相应设备所需面临的挑战和难题。这些信息将有助于国产化电子束光刻设备的自主研究,助力于国产化半导体产业发展。虽然国产化电子束光刻设备面临诸多难题,但相信在众多国内相关科研工作者的共同攻关下,该项“卡脖子”技术终会被攻克。

参考文献
[1] Lin B J. Future of multiple-e-beam direct-write systems[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2012, 11(3): 033011.
[2] IEEE. International roadmap for devices and systems 2021 update[EB/OL]. (2021-10-05)[2022-05-03]. https://irds.ieee.org/images/files/pdf/2021/2021IRDS_ES.pdf.
[3] Zhou Y, Qin Z, Liang Z Z, et al. Ultra-broadband metamaterial absorbers from long to very long infrared regime[J]. Light: Science & Applications, 2021, 10(1): 138.
[4] Ritter M F, Fuhrer A, Haxell D Z, et al. A superconducting switch actuated by injection of high-energy electrons[J]. Nature Communications, 2021, 12: 1266.
[5] Kanne T, Olsteins D, Marnauza M, et al. Double nanowires for hybrid quantum devices[J]. Advanced Functional Materials, 2022, 32(9): 2107926.
[6] Duan H G, Fernández-Domínguez A I, Bosman M, et al. Nanoplasmonics: Classical down to the nanometer scale[J]. Nano Letters, 2012, 12(3): 1683-1689.
[7] Kumar K, Duan H G, Hegde R S, et al. Printing colour at the optical diffraction limit[J]. Nature Nanotechnology, 2012, 7(9): 557-561.
[8] Hu Y Q, Ou X N, Zeng T B, et al. Electrically tunable multifunctional polarization-dependent metasurfaces integrated with liquid crystals in the visible region[J]. Nano Letters, 2021, 21(11): 4554-4562.
[9] Ding S Y, Yi J, Li J F, et al. Nanostructure-based plasmon-enhanced Raman spectroscopy for surface analysis of materials[J]. Nature Reviews Materials, 2016, 1: 16021.
[10] Chen Q, Liu Z W. Fabrication and applications of solidstate nanopores[J]. Sensors, 2019, 19(8): 1886.
[11] Keerthi A, Goutham S, You Y, et al. Water friction in nanofluidic channels made from two-dimensional crystals[J]. Nature Communications, 2021, 12(1): 3092.
[12] Liu L T, Kong L A, Li Q Y, et al. Transferred van der Waals metal electrodes for sub-1-nm MoS2 vertical transistors[J]. Nature Electronics, 2021, 4(5): 342-347.
[13] Buck D A, Shoulder K R. An approach to microminiature printed systems[C]//Papers and Discussions Presented at the December 3-5, 1958, Eastern Joint Computer Conference: Modern Computers: Objectives, Designs, Applications on XX-AIEE-ACM-IRE '58 (Eastern). New York: ACM Press, 1958: 55-59.
[14] Möllenstedt G, Speidel R. Elektronenoptischer mikroschreiber unter elektronenmikroskopischer arbeitskontrolle[J]. Physik Journal, 1960, 16(4): 192-198.
[15] Ballantyne J P. Mask fabrication by electron-beam lithography[M]//Electron-Beam Technology in Microelectronic Fabrication. Amsterdam: Elsevier, 1980: 259-307.
[16] Pfeiffer H C. Recent advances in electron-beam lithography for the high-volume production of VLSI devices[J]. IEEE Transactions on Electron Devices, 1979, 26(4): 663-674.
[17] Berger S D, Gibson J M. New approach to projectionelectron lithography with demonstrated 0.1 μm linewidth[J]. Applied Physics Letters, 1990, 57(2): 153-155.
[18] Dhaliwal R S, Enichen W A, Golladay S D, et al. PREVAIL—electron projection technology approach for nextgeneration lithography[J]. IBM Journal of Research and Development, 2001, 45(5): 615-638.
[19] Raith. High-resolution lithography with automation, throughput, and reliability[EB/OL].[2022-05-03]. https://raith.com/product/ebpg-plus/#info.
[20] Raith. Raith China Co., Ltd.[EB/OL].[2022-05-03]. https://expo.semi.org/china2020/public/eBooth.aspx?BoothID=476409&Task=Products.
[21] JEOL. JBX-9500FS 电子束光刻系统[EB/OL].[2022-05-03]. https://www.jeol.com.cn/product/detail/229.
[22] Elionix. ELS-BODEN electron beam lithography system[EB/OL].[2022-05-03]. https://www.elionix.co.jp/english/products/els_boden.html.
[23] Crestec. CABL-UH(130kV)series[EB/OL].[2022-05-03]. http://www.crestec8.co.jp/index_ch/business_ch/copy_electron_ch.html#cabl130.
[24] 欣源科技北京有限公司. CRESTEC 电子束直写[EB/OL].[2022-05-03]. http://www.globalimporter.net/cdetail_1966_7993984.html.
[25] Nanobeam. NanoBeam nB5[EB/OL].[2022-05-03]. http://www.nanobeam.co.uk/index.php?option=com_content&view=article&id=26&Itemid=48.
[26] 西北工业大学分析测试中心. 电子束曝光系统[EB/OL].[2022-05-03]. https://atc.nwpu.edu.cn/info/1082/1127.htm.
[27] Nanobeam. nB5 electron beam lithography system[EB/OL].[2022-05-03]. https://www.tesscorn-nanoscience.com/wp-content/uploads/2017/02/nB5.pdf.
[28] Takemura Y. Will the multibeam era arrive? [EB/OL]. [2022-05-03]. https://research-doc.credit-suisse.com/docView?language=ENG&format=PDF&sourceid=emcsplus&document_id=1066162281&serialid=CZePFAdNiSesJNpcbKcB3Uwj%2FHV4laTh9S45%2BV0OgMc%3D&cspId=null.
[29] Matsui H, Iwasaki K, Nakayamada N, et al. Electron Beam Mask Writer EBM-9500PLUS for logic 7nm+ node generation[EB/OL].[2022-06-12]. http://www.nuflare.co.jp/english/products/beam/pdf/SPIE_Poster9.5kP_final.pdf.
[30] Pang L Y, Russell E V, Baggenstoss B, et al. Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications[C]//SPIE Photomask Technology + EUV Lithography. Proc SPIE 11518, Photomask Technology 2020. 2020, 11518: 128-145.
[31] Matsui H, Kamikubo T, Nakahashi S, et al. Electron beam mask writer EBM-9500 for logic 7nm node generation[C]//SPIE Photomask Technology. Proc SPIE 9985, Photomask Technology 2016, San Jose, California, USA. 2016, 9985: 20-29.
[32] Nakayamada N, Kamikubo T, Anze H, et al. Advancing the charging effect correction with time-dependent discharging model[C]//Proc SPIE 8081, Photomask and Next-Generation Lithography Mask Technology XVⅢ. 2011, 8081: 55-63.
[33] Nakayamada N, Wake S, Kamikubo T, et al. Modeling of charging effect and its correction by EB mask writer EBM-6000[C]//Proc SPIE 7028, Photomask and NextGeneration Lithography Mask Technology XV. 2008, 7028: 106-117.
[34] Komagata T, Hasegawa T, Goto K, et al. Evaluation of a next generation EB mask writer for hp 32nm lithography[C]//Proc SPIE 7748, Photomask and Next-Generation Lithography Mask Technology XVⅡ. 2010, 7748: 155-164.
[35] JEOL. JBX-3200MV 电子束光刻系统[EB/OL].[2022-05-03]. https://www.jeol.com.cn/product/detail/230.
[36] Nuflare. EB mask writer EBM-9500[EB/OL].[2022-05-03]. http://www.nuflare.co.jp/english/products/beam/.
[37] Iijima T, Nakahashi S, Iikubo R, et al. Electron beam mask writer EBM-8000P for high throughput mask production[C]//SPIE Advanced Lithography. Proc SPIE 11324, Novel Patterning Technologies for Semiconductors, MEMS/NEMS and MOEMS 2020, San Jose, California, USA. 2020, 11324: 194-199.
[38] Advantest. 电子束曝光装置[EB/OL]. (2013-03-13) [2022-05-03]. https://www3.advantest.com/documents/11348/146022/pdf_F7000_130227_jp.pdf/9e1aa3e1-15b9-4f1e-a10b-ddf1917b6497.
[39] Vistec. Vistec shaped beam technology[EB/OL]. (2007-08-21)[2022-05-03]. https://www.yumpu.com/en/document/read/43226968/vistec-shaped-beam-technologyfasimit.
[40] Vistec. Vistec SB3050-2[EB/OL].[2022-05-03]. https://www.vistec-semi.com/products-services/vistec-sb254.
[41] Klein C, Platzgummer E. MBMW-101: World's 1st high-throughput multi-beam mask writer[C]//SPIE Photomask Technology. Proc SPIE 9985, Photomask Technology 2016, San Jose, California, USA. 2016, 9985: 998505.
[42] Petric P, Bevis C, Brodie A, et al. REBL nanowriter: Reflective electron beam lithography[C]//SPIE Advanced Lithography. Proc SPIE 7271, Alternative Lithographic Technologies, San Jose, California, USA. 2009, 7271: 71-85.
[43] Slodowski M, Döring H, Stolberg I A, et al. Multishaped-beam (MSB): An evolutionary approach for high throughput e-beam lithography[C]//SPIE Photomask Technology. Proc SPIE 7823, Photomask Technology 2010, Monterey, California, USA. 2010, 7823: 403-410.
[44] de Boer G, Dansberg M P, Jager R, et al. MAPPER: Progress toward a high-volume manufacturing system[C]//SPIE Advanced Lithography. Proc SPIE 8680, Alternative Lithographic Technologies V, San Jose, California, USA. 2013, 8680: 106-117.
[45] Wieland M. Massively parallel charged particle optics enabled by MEMS fabrication techniques[EB/OL]. [2022-05-03]. https://bt.pa.msu.edu/CPO-10/cgi-bin/abstracts.pl.
[46] Matsumoto H, Inoue H, Yamashita H, et al. Multi-beam mask writer MBM-1000 and its application field[C]//Proc SPIE 9984, Photomask Japan 2016: XXⅢ Symposium on Photomask and Next-Generation Lithography Mask Technology. 2016, 9984: 26-31.
[47] Matsumoto H, Yamaguchi K, Kimura H, et al. Multibeam mask writer, MBM-2000[C]//Proc SPIE 11908, Photomask Japan 2021: XXVⅡ Symposium on Photomask and Next-Generation Lithography Mask Technology, 2021, 11908: 175-180.
[48] Wieland M J, Derks H, Gupta H, et al. Throughput enhancement technique for MAPPER maskless lithography[C]//SPIE Advanced Lithography. Proc SPIE 7637, Alternative Lithographic Technologies Ⅱ, San Jose, California, USA. 2010, 7637: 457-467.
[49] Chaudhary N, Luo Y, Savari S A. A parallel multibeam mask writing method and its impact on data volumes[C]//32nd European Mask and Lithography Conference", "SPIE Proceedings. SPIE, 2016: 1003206.
[50] Platzgummer E, Klein C, Loeschner H. Printing results of a proof-of-concept 50keV electron multi-beam mask exposure tool (eMET POC)[C]//SPIE Photomask Technology. Proc SPIE 8522, Photomask Technology 2012, Monterey, California, USA. 2012, 8522: 427-434.
[51] Chaudhary N, Savari S A. Parallel compression/decompression-based datapath architecture for multibeam mask writers[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2017, 16: 043503.
[52] Green M, Ham Y, Dillon B, et al. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)[C]//SPIE Photomask Technology. Proc SPIE 9985, Photomask Technology 2016, San Jose, California, USA. 2016, 9985: 54-64.
[53] Platzgummer E, Cernusca S, Klein C, et al. eMET: 50 keV electron mask exposure tool development based on proven multi-beam projection technology[C]//SPIE Photomask Technology. Proc SPIE 7823, Photomask Technology 2010, Monterey, California, USA. 2010, 7823: 64-75.
[54] 顾文琪. 电子束曝光技术的发展方向[C]//第十二届全国电子束、离子束和光子束学术年会论文集. 北京: 中国电子学会, 2003: 26-31.
[55] 吴明均, 黄兰友. DJ—2可变矩形电子束曝光机[J]. 电子显微学报, 1992, 11(2): 137-143.
[56] 顾文琪, 张福安. 一种新型的具有角度限制的电子束投影曝光技术[J]. 微纳电子技术, 2002, 39(4): 37-41.
[57] 高文洪, 李祥. 电子束曝光机激光精密定位[J]. 山东工学院学报, 1979, 9(2): 60-66.
[58] 严伟, 胡松, 杨勇, 等. 电子束曝光系统中精密工件台的测量系统[J]. 微纳电子技术, 2009, 46(4): 244-249.
[59] 庄炳河. DB—5型光栅扫描电子束曝光机真空系统[J]. 微细加工技术, 1991 (1): 46-50.
[60] 薛虹, 顾文琪, 刘俊标, 等. 实用化电子束曝光机的真空系统[C]//第十二届全国电子束、离子束和光子束学术年会论文集. 北京: 中国电子学会, 2003: 118-120.
[61] 顾文琪, 王理明. 电子束曝光机的纳米图形生成技术[J]. 仪器仪表学报, 1996, 17(增刊1): 112-113.
[62] 刘伟. 纳米级电子束曝光系统用图形发生器技术研究[D]. 北京: 中国科学院研究生院(电工研究所), 2006.
[63] 张明, 张玉林, 钟得智. SDS-2型电子束曝光机偏放系统的抗干扰改造[J]. 微细加工技术, 1997(2): 20-22.
[64] 尹明, 张玉林. 电子束曝光机偏转系统及可动物镜分析[J]. 光学学报, 2004, 24(3): 423-426.
[65] 刘珠明. 纳米级电子束曝光机聚焦偏转系统的研究[D]. 北京: 中国科学院研究生院(电工研究所), 2005.
[66] 刘俊标, 方光荣, 靳鹏云, 等. 基于SEM纳米级电子束曝光机的快速束闸设计[J]. 电子工业专用设备, 2008, (10): 10-13.
[67] 张明. SDS-2电子束曝光机束闸线路[J]. 山东工业大学学报, 1988, 18(3): 81-83.
[68] Sunaoshi H, Tachikawa Y, Higurashi H, et al. EBM-5000: Electron beam mask writer for 45 nm node[C]//Photomask and Next Generation Lithography Mask Technology XⅢ. Yokohama, Japan: Proceedings of Spie-The International Society For Optical Engineering, 2006, 6283: 27-35.
Electron beam lithography system: Progress and outlook
LIANG Huikang1, DUAN Huigao1,2    
1. College of Mechanical and Vehicle Engineering, Hunan University, Changsha 410082, China
2. Guangdong-Hong Kong-Macao Greater Bay Area Innovation Research Institute, Hunan University, Guangzhou 511300, China
Abstract Electron beam lithography plays an irreplaceable role in applications such as high precision mask manufacturing, prototype device research and development, small volume production and fundamental scientific research. So breakthrough in advanced domestic electron beam lithography system is an urgent task in the context of foreign embargo. In this review, we introduce the development process of electron beam lithography systems, list the main manufacturers and latest system parameters of three most popular kinds of system in scientific research and industry, and summarize the progress of electron beam lithography system in China. In comparison with the technical parameters of foreign electron beam lithography systems, the key issues that need to be solved in domestic development are summarized. Among them, the challenges of realizing advanced domestic Gaussian electron beam lithography system are emphatically described, including thermal field emission electron gun, high acceleration voltage, high frequency pattern generator, high precision laser interferometer detection technology, and high precision electron beam deflection compensation technology. This review may provide a technical route reference for advanced domestic electron beam lithography system.
Key words: electron beam lithography systems     micro and nano-fabrication     Gaussian beam     variable shaped beam     multi-beam