2. 中国科学院大学地质与地球物理研究所, 北京 100049
2. Institute of Geology and Geophysics,Chinese Academy of Sciences, Beijing 100049, China
随着易发现的露头矿体越来越少,再加上生产矿山存在各种各样的电磁干扰,这就使得传统的电法勘探很难解决隐伏矿体的探测问题(李梅等,2008;尹军杰等,2009;滕吉文,2006;吕庆田等,2004;孟小红等,2009;闫永利等,2009;王若等,2007;雷达等,2010;).因此,用来对抗强电磁干扰以提高勘探精度和勘探效率,从而解决目前电法勘探所面临的困境的新技术的探索和发展就显得迫在眉睫.
伪随机序列中的m序列是目前被广泛应用的一种伪随机序列,其在通信领域有着最为广泛的应用,如扩频通信,卫星通信的码分多址,数字数据中的加密(王相生等,2002;刘家胜等,2007)、加扰、同步、误码率测量等领域(白彧等,2012;饶妮妮等,2000;陶崇强等,2012),但在地球物理学中的应用才开始.由于m序列具有频带宽,能量谱密度低和类似白噪声良好的自相关特性等优点,所以将m序列应用到电法勘探中将会是下一步研究工作的重点和热点.
一个序列,如果一方面可以被预先确定,重复和复制,另一方面它又具有白噪声序列所具有的统计特性,那么我们就称这种序列为伪随机序列(王会华等,2007).也就是说伪随机序列是具有随机序列一些特性的非随机序列.
m序列又称为PN码,是最长线性反馈移位寄存器序列的简称(Alfke,1996).由于该序列理论较为成熟,软硬件实现均较为简单,是研究伪随机序列的基础,所以它是应用最广的一种伪随机序列(林智慧等,2009).
m序列由若干级串接的寄存器的线性抽头经模2加法器也就是异或单元反馈得到(图1). 设有n级串接移位寄存器,那么该寄存器组最大所能包含2n个状态,再由于寄存器组的初始状态不能全为0,那么最大包含的状态就为2n-1,也就是所产生序列的最大周期为2n-1个时钟数(俎云霄,2007).
图1中:Ci=1表明连接线导通,有反馈信号接入,Ci=0表明连接线断开,没有反馈信号接入.线性反馈移位寄存器序列的特征多项式为
它与寄存器组输出的序列有密切关系,式中xi并无实际意义,与寄存器所处的位置相对应.
当f(x)满足一下三个条件时,寄存器组就能产生m序列,也就是f(x)是本原多项式.
(1)f(x)为非约的,也就是不能被1或本身以外的多项式除尽;
(2)f(x)可整除(xm+1),m=2n-1;
(3)f(x)不能整除(xn+1),n
只要知道本原多项式,就能知道此条件下的反馈函数,就能设计出m序列发生器(Grimsrud, 2003).本原多项式一般是通过查本原多项式表得到.但如果查表不方便时可以在matlab软件中用调用primpoly函数实现.例如要求得n=4时的所有本原多项式,只需在命令窗口输入primpoly(4,'all'),可得到所有的本原多项式:
D^4+D^1+1
D^4+D^3+1
也可以用以下语句求得n=4的本原多项式,在matlab里建立m文件:
function[x]=m(n)
x=gfprimfd(n,'all');
for i=1:size(x);
gfpretty(x(i,:));
end
在命令窗口输入调用语句[x]=m(4)即可得到
1+x3+x4
1+x+x4
x =
1 1 0 0 1
1 0 0 1 1
由上述可知m序列的本原多项式很容易得到.但值得注意的是当n被确定后,可得到多个本原多项式,在实际应用中通常选取最简单的本原多项式来产生m序列.
由于相关仪器性能的限制,电法勘探中所采用的伪随机m序列的周期都不是很大.选用Xilinx公司的FPGA作为设计和验证所用的芯片,采用存储波形设计的思想来实现,编 程语言选用VHDL.以下是n=3和4时的VHDL语言的表述.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity m_sequence is
port(clk:in std_logic;
choice:in std_logic;
rst:in std_logic;
m_seq:out std_logic );
end m_sequence;
architecture Behavioral of m_sequence is
signal m3_seq:std_logic_vector(6 downto 0);
signal m4_seq:std_logic_vector(14 downto 0);
signal m_seq_temp1:std_logic;
signal m_seq_temp2:std_logic;
signal m_seq_temp:std_logic;
signal counter1:integer:=0;
signal counter2:integer:=0;
begin
m3_seq<="1010011";
m4_seq<="111101011001000";
m_seq<=m_seq_temp;
P1:process(clk)
begin
if(clk’event and clk='1')then
if(counter1=0)then
m_seq_temp1<=m3_seq(0);
counter1<=6;
else
m_seq_temp1<=m3_seq(counter1);
counter1<=counter1-1;
end if;
end if;
end process;
P2:process(clk)
begin
if(clk’event and clk='1')then
if(counter2=0)then
m_seq_temp2<=m4_seq(0);
counter2<=14;
else
m_seq_temp2<=m4_seq(counter2);
counter2<=counter2-1;
end if;
end if;
end process;
P3:process(choice)
begin
if(rst='1')then
m_seq_temp<='0';
elsif(clk’event and clk='1')then
case choice is
when '1'=>m_seq_temp<=m_seq_temp1;
when '0'=>m_seq_temp<=m_seq_temp2;
when others=>m_seq_temp<=m_seq_temp1;
end case;
end if;
end process;
end Behavioral;
P1和P2进程都是并串转换程序,实际设计中只需编写一个然后封装调用即可,这样写是为了表述方便.当choice=1 时,输出n=3时的m序列;当choice=0时,输出n=4的m序列.需要注意的是,ISE软件对上述程序进行综合的时候一般会对常数项进行优化处理,这容易导致信息缺失.为了避免这一情况,需对相应的寄存器或者锁存器设置成异步形式.如果勘探需要的波形种类较少,且周期不大时,可以直接调用移位寄存器SRL16E赋初值实现.当所需波形较多,周期较大时,这就需要调用RAM模块实现.不过上述方法本质上都是存储波形的设计思想.
在m序列的一个周期里,1的个数比0多一个(易茂祥等,2012).由于移位寄存器组的状态不能全为0,所以m序列的周期为2n-1 ,其中1的个数为2n-1,0的个数2n-1-1.当n取值足够大时,我们就可假设1的个数与0的相等(沈允春,1995).
在一个序列中取值(1或0)相同的连在一起的元素合称为一个“游程”,在一个游程中元素的个数称为游程长度.m序列的周期为2n-1,所以游程总数为 2n-1 .其中,长度为1的游程占游程总数的1/2;长度为2的游程占游程总数的1/4;长度为3的游程占游程总数的1/8,…,以此类推,长度为k的游程数占游程总数的1/2k.其中最长的游程是n个1相连,其次是n-1个0 相连(朱近康,1993).
伪随机m序列最早被广泛用于扩频通讯里的直接序列扩频系统中(陶崇强等,2012).其实现方法是先将要发送的信息经伪随机m序列扩展到宽频谱上后再发送.接收端采用相同的伪随机m序列对接收的信号进行解括处理,从而还原原信息(图5).由于干扰信号与伪随机序列不相关,在接收端被解扩,使得落入信号频带内的干扰和噪声的频谱被扩展,大大降低了其功率谱密度,甚至是在有用信号功率低于干扰信号功率的情况下,仍能够高质量可靠地进行通信,从而提高了系统的输出信噪比,加强了扩频系统的抗干扰性能,较好地完成了抗干扰的目的(王理德等,2003).在接收解扩时用相关技术从多径信号中分离出最强的有用信号,这样就可以有效地消除信号衰落现象.利用上述优点,我们可以将扩频技术引入到电法勘探中来对抗强电磁干扰,从而实现微弱信号的有效提取(王立松等,2010).
扩频通讯中的一些宽窄带干扰压制技术在地球物理领域内具有很广泛的应用,两个领域内的技术具有很强的借鉴意义.扩频通讯对宽窄带干扰压制的技术一般分为时间域抑制技术、频率域抑制技术和变换域抑制技术(彭建亮等,2012).其中时间域和频率域的抑制技术可通过傅里叶变换相互转换(彭真明等,1999).
时间域抑制技术主要采用自适应滤波算法器实现.自适应滤波器又可以分为线性自适应滤波器和非线性自适应滤波器.线性自适应滤波技术应用的是宽带有用信号和窄带干扰信号在可预测性上的差异来抑制窄带干扰,只利用了两者的谱结构特性.而非线性自适应滤波技术除利用两种信号的谱特性之外,还利用宽带有用信号和窄带干扰信号的一阶概率分布,获得了更好的抑制效果.线性自适应滤波技术应用最为广泛的是固定步长LMS滤波技术和变步长LMS滤波技术,它们均是在维纳滤波和卡尔曼滤波的基础上发展而来的(Widrow, 1997).固定步长LMS滤波算法的收敛速度与步长成正比,而稳态误差又与步长成反比,因此该算法对步长的要求在收敛速度与稳态误差之间是矛盾的(田宝凤等,2012).变步长LMS算法(苏飞等,2004)因为具有收敛速度更快和能适应输入信号更大的变化范围,减轻梯度噪声放大的问题等优点,所以较固定步长LMS算法得到更广泛的应用.常用的非线性自适应滤波算法是极性LMS自适应算法,该算法包括误差极性算法、信号极性算法和极性极性算法.
在一个系统中,当其窄带干扰信号强度超过系统干扰容限后,系统性能急剧地下降.必须借助一定的抗窄带干扰滤波技术,才能让系统恢复正常工作.窄带干扰信号的功率谱主要集中在很窄的频带上,且具有表现为脉冲形状的特点,因此,变换域滤波技术(Dentino et al,1997)利用这一特点,将混合信号通过合适变换,将干扰映射到很窄的变换域子带,同时将有用信号尽可能地扩散到整个变换域带宽中,映射成与窄带干扰正交的,具有平坦谱特性的波形(Beaufays, 1995; Narayanet al,1983;王宏禹等,1999;张贤达,1997).然后判断出干扰的位置和带宽后,将相应变换域子带置0,从而达到抑制干扰的目的.变换域滤波技术采用批处理和滑动DFT变换,运算速度较前面的时域自适应算法更快,同时可抑制多个窄带干扰(Ut-Va Koc et al.,1995; Wu et al., 1998 ;Haykin, 1985).
图6是解括和滤波前后信噪比对比示意图.
在以伪随机序列作为信号源的电法勘探中,为了得到最好的勘探效果,必须考虑伪随机序列的周期 M,每个码元所持续的时间T0即系统时钟周期和序列重复的周期数q这些参数的选取.
(1)伪随机序列周期M的选取
伪随机序列周期M的选取以大于整个勘探系统的响应时间te为原则,也就是让电流与整个系统有足够的作用时间,即Mt0>te.当M确定后就可以由公式M=2n-1确定最小移位寄存器的级数.
(2)伪随机序列系统时钟周期T0的选取
系统时钟的频率f0=1/T0,伪随机序列的频宽为0.45f0.由公式(1)可知,f0越大,伪随机序列的自相关函数越接近δ函数,辨识精度越高,但结合考虑能量谱密度就只能在二者之间选择一个折中值.一般规定,若系统频带的宽度小于伪随机序列频宽的3倍左右,我们就可以把该伪随机序列当做白噪声信号处理.由于观测的大地系统的阻抗是呈容性的,这就使得系统的频带宽度变小,所以伪随机序列频宽的选择一般为系统频宽的4~10倍(李梅等,2008).最终该参数的选择是通过测采样岩芯参数确定的.
(3)伪随机序列重复的周期数q的选取
为了压制噪声干扰提高信噪比,在勘探效率和勘探成本的允许下,应尽可能选择大的重复周期数q.
在电法勘探中,一般把大地看做是一个复杂的系统,通过阶跃法和频率法分析该系统对激励信号的响应,从而获得地下信息(李金铭,2005;汤井田等,2005).但传统电法存在工作效率低,精度差和抗干扰能力低等缺点(汤井田等,2006;赵璧如等,2006).m序列的出现为这一问题的解决提供了可能.
m序列在电法勘探中的应用大致可分为两类.一类是,在传统的电法勘探中,采用伪随机m序列作为人工激励场源,利用m序列频带宽和能量谱密度低这一特性来压制随机干扰以提高信噪比.这种方法是最开始把m序列引入到地球物理勘探中的思想.另一类是,利用伪随机m序列具有类似于白噪声良好的自相关特性,结合系统的相关辨识理论,通过相关运算得到系统的单位冲击响应和频率响应,再通过反演从而得到反映地下地质结构的电性参数,进而达到勘探的目的.
赵璧如(赵璧如等,2006)采用的方法是属于第一种方法.在传统的直流电阻率法的装置和理论基础上,采用m序列伪随机信号作为人工激励场源,如图7所示,通过供电极AB将信号供入大地,测量电极MN对电位信息进行采集并存储.由于供入的m序列具有很好的自相关特性,用该序列与MN两极的测量信号进行相关运算就可以很好的压制噪声干扰,在资料处理中仍采用直流电阻率法的相关软件和反演方法处理数据,从而提取含有地质结构的电位信息,进而达到勘探的目的.该方法利用m序列频带宽,能量谱密度低这些特性来压制随机干扰和自然电位干扰,提高信噪比,这是一种类似于扩频通讯里的直接序列扩频技术.由于该方法采用的接收测量装置本质上仍是直流装置,所以在m序列丰富的频率信息中只对零频信息进行了提取.所以该方法所得到的地电信息量还是很少.
英国PGS公司的MTEM多道瞬变电磁系统(Multi-channel Transient Electromagnetics)(Hobbs et al., 2006; Burel et al., 2000; Ziolkowski et al.,2007; Wright et al.,2002; Duncan et al.,1980; Wright et al.,2005)也是属于第一种方法.该系统的设计思想是通过采集的数据,利用反卷积方法求得大地的冲积响应,从而推得地下电导率的分布,达到勘探的目的.它最先采用阶跃波作为发送信号,为了有效提高信噪比,第二代产品采用频带宽能量谱密度低的伪随机m序列作为发送信号.装置如图8所示:
从装置图中可以看出,发送机以电偶极源的形式发送伪随机m序列,线性排列的接收机同时接收激励响应信息,再者由于收发距从左到右依次增加,收发距越大所探测的深度越大,所以发送机只需发送一次伪随机m序列就可得到不同深度丰富的频率信息(Ziolkowski,2009).这大大提高了勘探效率和勘探精度.
整个勘探系统可以用图9表示,
接收机测得的地电响应为
在发送机周围采用相同的接收机来测量未经过大地的场源的电磁响应t(t) ,再
由式(3)可得到观测系统本身的冲积响应hs(t).
再因为随机噪声n(t)随着测量次数的增加和叠加次数的增加而减弱,而有用信号却增强,所以多次测量后上式中的n(t)结果可略去不做考虑,那么上式可变成
再用T(t)的逆系统T(t)-1对式(4)两边做卷积运算就可得到大地冲积响应he(t),即
也可对式(3)(4)进行拉普拉斯变换,变换到频率域求解,则
联立式(6)(7),得
从以上过程可看出变换到频率域求解只需做除法即可,避免了反卷积运算,从而减少了计算量(Wright et al., 2006).
在准静态条件下,不同频率的电磁信号在导电率不同的介质中传播速度有很大的差异,因此大地冲激响应的峰值时间可反映地电阻率的变化.Hobbs B等推导了利用冲激响应峰值时间确定地电阻率的办法(Hobbs et al.,2006):
式(9)中μ为传播介质的磁导率,r为收发距,tpeak系统冲激响应峰值所对应的时间.根据收发距和峰值所对应时间的不同,利用此公式和地震资料解释方法可获得不同深度的丰富的地电信息,得到伪电阻率断面.在没有任何先验知识的情况下,MTEM的分析结果可以和地震和测井的分析结果媲美,被称为是钻孔前的测井技术(Logging before drilling)(Wright et al .,2005).
罗维斌,汤井田等人提出的编码电磁法是属于第二种方法(罗维斌,2007;罗维斌等,2012;汤井田,2008a,b;罗维斌等,2008).该方法是在MTEM的基础上发展而来的,与MTEM的区别主要表现在大地系统冲激响应的求取方法上.MTEM采用反卷积的方法求取大地的冲激响应,而编码电磁法充分利用伪随机序列具有很好类似白噪声自相关性这一优点,采用相关辨识法来求得大地系统的冲激响应和频率响应.编码电磁法观测系统(图10)与MTEM观测系统类似,采用逆重复m伪随机序列作为输入信号,线性排列的接收机同时接收激励响应信息,一次发送就可获得不同深度丰富的频率信息.逆重复m序列是由m序列隔位取反得到的,它的长度是m序列的2倍(黄金峰等,2011).
整个勘探模型如图10所示.接收机测得的地电响应为
再用输入的逆重复m序列对上式两边做相关运算,即
由于噪声信号n(t)与逆重复m序列f(t) 不相关,所以二者做相关运算结果为0.那么上式变为
即
将式(13)经拉普拉斯变换到频率域求解,而式(14)中Hs(jω)可由式(6)确定,即
以上方法得到的大地冲激响应及阶跃响应含有丰富的地电信息(Li M et al,2012),可以在频率域分析大地的频率特性,也可以在时间域分析大地的时间特性.该方法对电阻率的变化有较高的灵敏度,为实现大埋深薄层的高精度探测提供了可能.但该方法目前仍处于理论推导阶段,并没有进行仿真研究,目前也还没有相应仪器和软件的问世.
刘义国,董浩斌等人(2010)提出的方法是属于第二种方法,只是该方法是编码电磁法的一种简化形式.李梅(李梅,2008;Li et al., 2012;李梅,2010)提出的相关辨识技术在时间域谱激电的应用是对编码电磁法做更深入研究,完成了理论的完整推导和仿真实验,并研制了一个基于FPGA的m序列和逆重复m序列信号产生模块.公式推导与编码电磁法的类似,这里不再做赘述.
伪随机序列在通讯和雷达探测领域中已被广泛应用,但在电法勘探中的应用还只是开始.本文详细介绍了伪随机序列软件和硬件产生的方法、勘探中序列参数的选取、并介绍了伪随机序列在电法勘探的应用和及有关公式推导.基于伪随机序列的电法勘探为大埋深薄层目标体的高精度勘探和强电磁干扰背景下的电法数据可靠采集提供了可能,具有很好的研究价值和广阔的研究前景.
致 谢 本文由中央地质勘查基金(12120113095200)资助.感谢中国地质大学(北京)李梅副教授,甘肃省有色地质调查院罗维斌博士在本研究上的有益建议和与作者的多次讨论.
[1] | Beaufays F. 1995. Transform-domain adaptive filters: an analytical approach[J]. IEEE Trans. ASSP, 43(2): 422-431, doi:10.1109/78.3448125. |
[2] | Burel G, Bouder C. 2000. Blind estimation of the pseudo-random sequence of a direct sequence spread spectrum signal[C]. // Proceedings of the Conference Proceedings 21st Century Military Communications. Los Angeles, CA: IEEE, 2: 967-970, doi:10.1109/MILCOM.2000.904074. |
[3] | Dentino M, Mecool J, Windrow B. 1997. Adaptive filtering in the frequency domain[J]. Proc. IEEE, 66(12): 1658-1659, doi:10.1109/PROC.1978.11177. |
[4] | Duncan P M, Hwang A, Edwards R N, et al. 1980. The development and applications of a wide band electromagnetic sounding system using a pseudo-noise source[J]. Geophysics, 45(8): 1276-1296, doi:10.1190/1.1441124. |
[5] | Grimsrud K, Smith H. 2003. Serial ATA Storage Architecture and Applications[M]. Hillsboro, OR, USA: INTEL Press. |
[6] | Haykin S. 1985. Adaptive Filtering Theory(3rd edition)[M]. New Jersy, USA: Prentice Hall. |
[7] | Duncan P M, Hwang A, Edwards R N, et al. 1980. The development and applications of a wide band electromagnetic sounding system using a pseudo-noise source[J]. Geophysics, 45(8): 1276-1296, doi:10.1190/1.1441124. |
[8] | Li M, Wei W B, Luo W B, et al. 2012. Time-domain spectral induced polarization based on pseudo-random sequence[J]. Pure and Applied Geophysics, 170(12): 2257-2262, -012-0624-z. doi:10.1007/s00024 |
[9] | Narayan S, Peterson A, Narasimha M. 1983. Transform domain LMS algorithm[J]. IEEE Trans. ASSP, 31(3): 609-615, doi:10.1109/TASSP.1983.1164121. |
[10] | Ut-Va Koc, Liu K J R. 1995. Adaptive overlapping approach for DCT-based motion estimation [C]. // Proceedings of the International Conference on Image Processing. Washington, DC: IEEE, 1(1): 223-226, doi:10.1109/ICIP.1995.529586. |
[11] | Haykin S. 1985. Adaptive Filtering Theory(3rd edition)[M]. New Jersy, USA: Prentice Hall. |
[12] | Wright D, Ziolkowski A, Hobbs B. 2002. Hydrocarbon detection and monitoring with a multicomponent transient electromagnetic (MTEM)survey[J]. The Leading Edge, 21(9): 852-864, doi:10.1190/1.1508954. |
[13] | Hobbs B, Ziolkowski A, Wright D. 2006. Multi-transient electromagnetics (MTEM)-controlled source equipment for subsurface resistivity investigation[C]. // 18th IAGA WG 1.2 Workshop on Electromagnetic Induction in the Earth, El Vendrell, Spain, September: 17-23. |
[14] | Wright D, Hobbs B, Ziolkowski A. 2006. True amplitude transient electromagnetic system response measurement[OL/B]. WO2006/114561_A2, 2006-11-02. http://patentscope.wipo.int/search/en/detail.jsf?docId=WO2006114561&recNum=37&docAn=GB2005004773&queryString=(Intrusion%2520and%2520Detection%2520andnot%2520Infrared)&maxRec=60 |
[15] | Wright D, Ziolkowski A. 2007. Suppression of noise in MTEM data. SEG/San Antonio 2007 Annual Meeting, 549-553, ://www.researchgate.net/publication/240736873_Suppression_of_noise_in_MTEM_data.doi:10.1190/1.2792481. http |
[16] | Wu A Y, Wu C S. 1998. Transform-domain delayed LMS algorithm and architecture [J]. IEEE International Symposium on Circuits and Systems, 5(10): 194-197, doi:10.1109/ISCAS.1998.694441. |
[17] | Ziolkowski A, Hobbs B A, Wright D. 2007. Multitransient electromagnetic demonstration survey in France[J]. Geophysics, 72(4): F197-F209, doi:10.1190/1.2735802. |
[1] | 白彧, 杨晓静, 张玉. 2012. 基于高阶统计处理技术的m-序列帧同步码识别[J]. 电子与信息学报, 34(1): 33-37, doi:10.3724/SP. J.1146.2011.00500. |
[2] | 陈罡, 赵正予, 杨国斌. 2008. 近完美序列与m序列的分析和比较[J]. 电波科学学报, 23(1): 68-73, doi:10.3969/j.issn.1005-0388.2008.01.011. |
[3] | 段少华, 张中兆, 张乃通. 2011. 准同步CDMA及其在卫星移动通信中的应用[J]. 高技术通讯, 11(1): 48-52, doi:10.3321/j.issn:1002-0470.2001.01.011. |
[4] | 黄金峰, 张合新, 李旭渊. 2011. 逆重复M序列相关辨识法的一种改进[J]. 弹箭与制导学报, 31(3): 227-231, doi:10.3969/j.issn.1673-9728.2011.03.065. |
[5] | 雷达, 赵国泽, 张忠杰,等. 2010. 强干扰地区CSAMT数据信息熵与有理函数滤波的处理方法[J]. 地球物理学进展, 25(6): 2015-2023, doi:10.3969/j.issn.1004-2903.2010.06.017. |
[6] | 李白南. 1987. 伪随机信号及相关辨识[M]. 北京: 科学出版社. |
[7] | 李金铭. 2005. 地电场与电法勘探[M]. 北京: 地质出版社. |
[8] | 李梅, 魏文博, 邓明. 2008. 电法勘探中的伪随机序列[J]. 仪器仪表学报, 29(4): 233-235. |
[9] | 李梅. 2010. 基于相关辨识技术的时间域谱激电仿真研究[博士学位论文]. 北京: 中国地质大学(北京). |
[10] | 林智慧, 陈绥阳, 王元一. 2009. m序列及其在通信中的应用[J]. 现代电子技术, 32(9): 49-51, doi:10.3969/j. issn.1004-373X.2009.09.014. |
[11] | 刘家胜, 黄贤武, 朱灿焰,等. 2007. 基于m序列变换和混沌映射的图像加密算法[J]. 电子与信息学报, 29(6): 1476-1479. |
[12] | 刘义国, 董浩斌, 刘雪军,等. 2010. m序列在电法勘探上的应用初探[J]. 工程地球物理学报, 7(2): 159-163, doi:10.3969/j.issn.1672-7940.2010.02.006. |
[13] | 罗维斌. 2007. 伪随机海洋可控源多道电磁测深法研究[博士论文]. 长沙: 中南大学. |
[14] | 罗维斌, 汤井田. 2008. 海底油气藏及天然气水合物的时频电磁辨识[J]. 地球物理学进展, 23(6): 1841-1848. |
[15] | 罗维斌, 李庆春, 汤井田. 2012. 编码电磁测深[J]. 地球物理学报, 55(1): 341-349, doi:10.6038/j.issn.0001-5733.2012.01.035. |
[16] | 吕庆田, 侯增谦, 史大年,等. 2004. 铜陵狮子山金属矿地震反射结果及对区域找矿的意义[J]. 矿床地质, 23(3): 390-398., doi:10.3969/j.issn.0258-7106.2004.03.013. |
[17] | 孟小红, 郭良辉, 石磊,等. 2009. 重力和重力梯度数据三维相关成像[J]. 地球物理学报, 52(4): 1098-1106, doi:10.3969/j.issn.0001-5733.2009.04.027. |
[18] | 彭建亮, 彭真明, 张杰,等. 2012. 基于分数域自适应滤波的地震信号去噪方法[J]. 地球物理学进展, 27(4): 1730-1737, doi:10.6038/j.issn.1004-2903.2012.04.054. |
[19] | 彭真明, 肖慈殉, 王斌,等. 1999. 改进的f-x域预测滤波及其应用[J]. 物探化探计算技术, 21(2): 145-150, doi:10.3969/j.issn.1001-1749.1999.02.006. |
[20] | 饶妮妮, 尧德中, Shepherd S J. 2000. KM(M)序列用作RAKE型CDMA系统扩频码的分析[J]. 电子学报, 28(7): 12-15, doi:10.3321/j. issn: 0372-2112.2000.07.004. |
[21] | 沈允春. 1995. 扩谱技术[M]. 北京: 国防工业出版社. |
[22] | 苏飞, 王兆华. 2004. 基于变换域全相位FIR自适应滤波算法[J]. 电子学报, 32(11): 1859-1863, doi:10.3321/j.issn:0372-2112. 2004.11.023. |
[23] | 汤井田, 何继善. 2005. 可控源音频大地电磁法及其应用[M]. 长沙: 中南大学出版社. |
[24] | 汤井田, 李飞, 罗维斌. 2006. 基于逆重复m序列的精细探测电法发送机设计[J]. 地球物理学进展, 22(3): 994-1000, doi:10.3969/j.issn.1004-2903.2007.03.051. |
[25] | 汤井田, 罗维斌. 2008a. 基于相关辨识的逆重复m序列伪随机电磁法[J]. 地球物理学报, 51(4): 1226-1233, doi:10.3321/j.issn: 0001-5733.2008.04.034. |
[26] | 汤井田, 罗维斌, 刘长生. 2008b. 海底油气藏地质模型的冲激响应[J]. 地球物理学报, 51(6): 1929-1935, doi:10.3321/j.issn:0001-5733.2008.06.036. |
[27] | 陶崇强, 杨全, 袁晓. 2012. m序列、Gold序列和正交Gold序列的扩频通信系统仿真研究[J]. 电子设计工程, 20(18): 148-150, doi:10.3969/j.issn.1674-6236.2012.18.051. |
[28] | 田宝凤, 林君, 段清明,等. 2012. 基于参考线圈和变步长自适应的磁共振信号噪声压制方法[J]. 地球物理学报, 55(7): 2462-2472, doi:10.6038/j.issn.0001-5733.2012.07.030. |
[29] | 王会华, 李宝平. 2007. m序列发生器的设计与实现[J]. 北京电子科技学院学报, 15(2): 58-61, doi:10.3969/j.issn.1672-464X.2007.02.019. |
[30] | 王宏禹, 邱天爽. 1999. 自适应噪声抵消与时间延迟估计[M]. 大连: 大连理工大学出版社. |
[31] | 王理德, 陈高平. 2003. 扩频通信技术在CDMA中的应用[J]. 通信技术, (7): 56-58, doi:10.3969/j.issn.1002-0802. 2003.07.023. |
[32] | 王立松, 肖冰, 梁光明,等. 2010. 扩频通信技术浅析[J]. 科技情报开发与经济, 20(8): 103-104, doi:10.3969/j.issn.1005-6033.2010.08.048. |
[33] | 王若, 王妙月, 底青云,等. 2007. 巴颜喀拉块体东南缘地质构造的航磁反演成像[J]. 地球物理学报, 50(6): 1787-1793, doi:10.3321/j.issn:0001-5733.2007.06.019. |
[34] | 王相生, 甘骏人. 2002. 一种基于混沌的序列密码生成方法[J]. 计算机学报, 25(4): 351-356, doi:10.3321/j.issn:0254-4164.2002.04.003. |
[35] | 易茂祥, 章浩, 郭红卫,等. 2012. m-序列数据扰码技术及其在SATA中的应用[J]. 微电子学, 42(4): 502-505. |
[36] | 尹军杰, 王伟, 王赟,等. 2009. 地震散射波模拟成像方法在铜陵某矿区的应用[J]. 地球物理学进展, 24(4): 1367-1376, doi:10.3969/j.issn.1004-2903.2009.04.026. |
[37] | 张贤达. 1997. 信号处理中的线性代数[M]. 北京: 科学出版社. |
[38] | 赵璧如, 赵健, 张洪魁,等. 2006. PSl00型IP到端可控源高精度大地电测仪系统---CDMA技术首次在地电阻率测量中的应用[J]. 地球物理学进展, 21(2): 675-682, doi:10.3969/j.issn.1004-2903.2006.02.053. |
[39] | 朱近康. 1993. 扩展频谱通信及其应用[M]. 合肥: 中国科学技术大学出版社. |
[40] | 滕吉文. 2006. 强化开展地壳内部第二深度空间金属矿产资源地球物理找矿、勘探和开发[J]. 地质通报, 25(7): 767-771, doi:10.3969/j.issn.1671-2552.2006.07.001. |
[41] | 闫永利, 陈本池, 赵永贵,等. 2009. 电阻率层析成像非线性反演[J]. 地球物理学报, 52(3): 758-764. |
[42] | 俎云霄. 2007. 基于高阶统计处理技术的m序列检测及识别[J]. 电子与信息学报, 29(7): 1576-1579. |